CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 转换 VHDL

搜索资源列表

  1. VHDL范例

    1下载:
  2. 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:42884
    • 提供者:kerty
  1. SPI-PRT

    0下载:
  2. 昨天在论坛上看到有人帖出了他写的并串转换VHDL代码,但是他自己说有问题,但是不知道怎么改。我大概看了一下,发现思路还是比较乱的。于是就写下了我自己的并串转换代码。-yesterday at the forum see someone points out his writing and string conversion VHDL code, But he said there are problems, but does not know how reform. I probably wat
  3. 所属分类:并行运算

    • 发布日期:2008-10-13
    • 文件大小:1013
    • 提供者:ZHAOBOO
  1. Ycrcb2rgb

    0下载:
  2. VHDL代码,在fpga上实现图像数据从ycbcr到rgb的转换-VHDL code in fpga on the image data from the ycbcr to rgb conversion
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:42158
    • 提供者:jihuijie
  1. txt_util

    0下载:
  2. VHDL的字符串处理函数库,含数字与字符串之间的转换-VHDL string handling functions, containing figures and the conversion between the strings
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4897
    • 提供者:王森
  1. chuanbingzhuanhuan

    0下载:
  2. VHDL代码,仿真通过,变异可以,下载变成文件,但需要修改,串并转换-VHDL code, through simulation, the variation can be downloaded into a document, but need to change, and change series
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3347
    • 提供者:赵宾
  1. VHDL

    0下载:
  2. VHDL数字钟 数字电子钟 此数字电子钟具有的功能包括: 1. 计时,时、分、秒显示; 2. 十二小时与二十四小时之间的转换; 3. 上下午显示; 4. 对时、分、秒的校时功能;
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:2723
    • 提供者:HJGJGHK
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. 数字电子电路-VGA图像显示控制器

    0下载:
  2. 设计一个VGA图像显示控制器,使其实现以下功能---- 1. 显示模式为640╳480╳60Hz。 2. 用拨码开关控制R,G,B(每个2位),使显示器可以显示64种纯色。 3. 在显示器上显示横向彩条信号(至少六种颜色)。 4. 在显示器上显示纵向彩条信号(至少八种颜色)。 5. 在显示器上显示自行设定的图形,图像等。 6. 选做,自拟其他功能。 所利用到的元器件有: 电脑,显示器,vga接口转换模块, 数字电子电路实验开发板,30Mhz晶振,下载线,电源等
  3. 所属分类:VHDL编程

  1. 用VHDL语言实现四人智力竞赛抢答器的设计

    0下载:
  2. 1、用feng模块将选手按下按键信号输出高电平给锁存模块lockb,进行锁存的同时发出aim信号实现声音提示,并使count模块进行答题时间的倒计时,在计满100妙后送出声音提示; 2、用ch41a模块将抢答结果转换为二进制数; 3、用sel模块产生数码管片选信号; 4、用ch42a模块将对应数码管片选信号,送出需要的显示信号; 5、用七段译码器dispa模块进行译码。
  3. 所属分类:VHDL编程

  1. 并串转换XILINX

    0下载:
  2. 嵌入式中实现并串转换的VERILOG程序+VHDL程序两个版本,是xilinx版本的,权威但繁琐
  3. 所属分类:VHDL编程

    • 发布日期:2010-11-10
    • 文件大小:1411
    • 提供者:awenor
  1. verilog vhdl编写的串并转换

    2下载:
  2. verilog vhdl编写的串并转换
  3. 所属分类:按钮控件

    • 发布日期:2011-12-18
    • 文件大小:26978
    • 提供者:Avinie_Fong
  1. VHDL设计的相关实验,包括4位可逆计数器

    0下载:
  2. VHDL设计的相关实验,包括4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现。-VHDL design of experiments, including four reversible counters, four reversible binary code- Gray code converter design, the sequence detector design, the ROM-based sine w
  3. 所属分类:软件工程

    • 发布日期:2016-01-27
    • 文件大小:49152
    • 提供者:张联合
  1. parell_to_serial.rar

    0下载:
  2. 该模块主要完成并串转换功能。其中system_clk是输入并行时钟的频率,它是串行时钟serial_clk的八倍。byte_data_en是输入并行数据使能信号,byte_data是输入并行数据。serial_data是转换后的串行数据,bit_data_enable是串行数据有效信号。,The module main is completed and the string conversion functions. System_clk which is an input parallel c
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:840
    • 提供者:huangdecheng
  1. liuVHDL.rar

    0下载:
  2. 一种基于状态机设计的串并行转换电路,将LTC1196(ADC)的串行输出数据转换成并行数据的转换电路, ADC的时钟由转换电路提供,,Design a state machine based on parallel conversion circuit of the series will be LTC1196 (ADC) output of the serial data into parallel data conversion circuit, ADC clock provided by
  3. 所属分类:Com Port

    • 发布日期:2017-03-31
    • 文件大小:12167478
    • 提供者:刘广清
  1. tlv5619_test.rar

    0下载:
  2. TLV5619是一款电压输出型的DA转换器,该程序利用VHDL实现对TLV5619D的控制,TLV5619 is a voltage output type DA converter, the program achieved using VHDL control TLV5619D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:655560
    • 提供者:陈宇
  1. RGB2YCbCr.rar

    1下载:
  2. JPEG标准中图像彩色空间的转换,开发语言为Verilog,Standard JPEG image color space conversion, the development of language for Verilog
  3. 所属分类:Special Effects

    • 发布日期:2017-03-30
    • 文件大小:1787
    • 提供者:卫立波
  1. stx_cookbook.zip

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;,advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for arithmetic,floating points oper
  3. 所属分类:software engineering

    • 发布日期:2017-03-27
    • 文件大小:962193
    • 提供者:刘易
  1. Example.rar

    0下载:
  2. Nanny Fpga开发板的正版测试程序,内含lcd1602液晶控制驱动程序,Ad9201模数转换器和AD5440数模转换器的驱动控制程序,Nanny Fpga Development Board of the Genuine test procedures, including control lcd1602 LCD driver, Ad9201 ADC and DAC AD5440 driver control procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:135328
    • 提供者:zhijun
  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
  1. AD转换VHDL

    0下载:
  2. 控制ADC0809芯片的AD转换功能的VHDL程序
  3. 所属分类:VHDL编程

« 1 23 4 5 6 7 8 9 10 ... 24 »
搜珍网 www.dssz.com